吴忠躺衫网络科技有限公司

0
  • 聊天消息
  • 系統(tǒng)消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術(shù)視頻
  • 寫文章/發(fā)帖/加入社區(qū)
會員中心
創(chuàng)作中心

完善資料讓更多小伙伴認識你,還能領(lǐng)取20積分哦,立即完善>

3天內(nèi)不再提示

形式驗證及其在芯片工程中的應(yīng)用

冬至子 ? 來源:長點芯 ? 作者:SJ66 ? 2023-10-20 10:46 ? 次閱讀

一:形式驗證的基本概念

-> 廣義上的形式驗證?

形式驗證不僅僅是芯片領(lǐng)域中的一個概念。正如文章開頭提到過,形式驗證強調(diào)使用嚴格的數(shù)學推理和形式化技術(shù),以確保系統(tǒng)的行為是否符合預期的性質(zhì)和規(guī)格。所以說只要是可以通過量化方式構(gòu)建數(shù)學模型的系統(tǒng),都可以使用形式驗證來check其功能性以及其他可量化特性。比如:

  1. 軟件工程:就拿區(qū)塊鏈舉個例子。形式驗證不僅適用于智能合約本身,還適用于與智能合同交互的去中心化應(yīng)用。這可以幫助確保整個應(yīng)用的安全性和正確性。
  2. 金融領(lǐng)域:金融交易的驗證是非常重要的,特別是在高頻交易和算法交易領(lǐng)域。形式驗證可以確保交易的正確性和合規(guī)性,防止錯誤交易和潛在的風險。

-> 芯片工程里的形式驗證?

這里拿計數(shù)器舉一個簡單的形式驗證示例。請注意,這只是一個簡化的示例,實際的形式驗證可能涉及更復雜的設(shè)計和性質(zhì)。

假設(shè)有一個4位的計數(shù)器電路,可以從0計數(shù)到15。我們想要驗證以下性質(zhì):當計數(shù)器的值達到最大值15時,下一個計數(shù)值應(yīng)該是0。

設(shè)計:計數(shù)器電路有一個4位的計數(shù)器寄存器,可以遞增。當計數(shù)器值達到15時,下一個時鐘周期應(yīng)該將計數(shù)器重置為0。

形式驗證屬性:我們使用SystemVerilog的屬性規(guī)約 (SVA) 來表達這個性質(zhì)。(一般形式驗證的case都使用SVA來編寫)以下是一個簡化的屬性規(guī)約示例:

property reset_at_max;
  @(posedge clk) disable iff (!rst_n)
    (count == 4'b1111) |= > (next_count == 4'b0000);
endproperty

assert property (reset_at_max);

在這個屬性規(guī)約中,我們定義了一個屬性 reset_at_max ,它表達了當計數(shù)器值為15時,下一個計數(shù)值應(yīng)為0。這個屬性在時鐘上升沿觸發(fā)時進行檢查。如果屬性不滿足,將會產(chǎn)生一個驗證錯誤。

在這個示例中,使用仿真進行驗證可能需要執(zhí)行多個時鐘周期來驗證所有可能的計數(shù)序列。但是,使用形式驗證可以直接進行數(shù)學推理,驗證屬性在所有可能的情況下是否成立.

除此之外,與基于仿真的驗證不同,基于仿真的驗證會使用各種輸入情景來測試設(shè)計以確保其正確行為,形式驗證涉及數(shù)學分析以驗證設(shè)計的屬性。這些屬性可以包括功能正確性、安全性、安全性以及某些類型錯誤的缺失(例如,競態(tài)條件、死鎖等)。

二:形式驗證的優(yōu)勢

從上述的例子看來,那么形式驗證要優(yōu)于基于仿真的驗證?看似高性能的形式驗證,要將它發(fā)揮得淋漓盡致也是需要代價的。

其實不然,形式驗證也面臨著挑戰(zhàn)。它可能計算成本高昂,需要專門的專業(yè)知識來制定屬性并設(shè)置驗證過程。通常與其他驗證技術(shù)(如仿真和測試)結(jié)合使用,以提供全面的驗證策略。形式驗證和基于仿真的驗證各有其優(yōu)勢和局限性,沒有絕對的優(yōu)劣之分。選擇哪種驗證方法取決于具體的設(shè)計需求、時間和資源限制以及設(shè)計的復雜性。

形式驗證VS動態(tài)仿真

->形式驗證的特點:

  1. 高度可靠性 :形式驗證提供了數(shù)學證明的可靠性,如果設(shè)計通過了形式驗證,那么可以有很大的信心認為設(shè)計是正確的。
  2. 全面性 :形式驗證可以覆蓋所有可能的狀態(tài),從而捕獲設(shè)計中的所有潛在錯誤,包括一些難以通過仿真檢測到的問題。
  3. 對于復雜設(shè)計 :對于復雜的設(shè)計,特別是關(guān)鍵性能的設(shè)計,形式驗證可以幫助發(fā)現(xiàn)隱藏的問題和時序錯誤。

->動態(tài)仿真驗證的特點:

  1. 易于實施 :基于仿真的驗證通常比形式驗證更容易實施,可以快速驗證設(shè)計的基本功能和常見情況。
  2. 資源效率高 :在一些情況下,形式驗證可能需要更多的計算資源和時間,而基于仿真的驗證可能更具資源效率。
  3. 快速迭代 :基于仿真的驗證允許設(shè)計團隊迅速進行修改和驗證,特別適用于快速迭代的設(shè)計流程。

可見,trade-off的概念在芯片領(lǐng)域里面處處可見。魚與熊掌不可得兼。

三:在芯片驗證中實現(xiàn)形式驗證

形式驗證主要由以下幾個部分組成:

  1. 性質(zhì)(Properties) :這些是描述設(shè)計所需屬性和規(guī)格的語句,例如時序關(guān)系、狀態(tài)轉(zhuǎn)換、約束等。常用的
  2. 規(guī)約語言 :通常使用形式規(guī)約語言,如 SystemVerilog Assertions(SVA)、Property Specification Language(PSL)等,來編寫性質(zhì)和約束。
  3. 定理證明器(Theorem Provers) :這些工具用于推理和證明性質(zhì)是否成立。它們基于形式化邏輯和推理規(guī)則來驗證性質(zhì)。
  4. 模型檢查器(Model Checkers) :這些工具用于窮舉系統(tǒng)狀態(tài)空間,檢查是否存在滿足性質(zhì)的狀態(tài)序列。

電子設(shè)計自動化 EDA 工具中,許多主要的形式驗證工具已經(jīng)集成到綜合工具鏈中,以幫助硬件工程師驗證他們的設(shè)計。這些工具通常基于硬件描述語言 (如Verilog或VHDL)

比如:

1. Cadence JasperGold :JasperGold是一個集成式形式驗證平臺,支持屬性規(guī)約和模型檢查,廣泛應(yīng)用于驗證硬件設(shè)計。

2. Synopsys VC Formal :VC Formal是Synopsys的形式驗證工具,用于驗證功能、時序和系統(tǒng)級性質(zhì)。

四:形式驗證的未來

最近幾年,學術(shù)界

聲明:本文內(nèi)容及配圖由入駐作者撰寫或者入駐合作網(wǎng)站授權(quán)轉(zhuǎn)載。文章觀點僅代表作者本人,不代表電子發(fā)燒友網(wǎng)立場。文章及其配圖僅供工程師學習之用,如有內(nèi)容侵權(quán)或者其他違規(guī)問題,請聯(lián)系本站處理。 舉報投訴
  • 寄存器
    +關(guān)注

    關(guān)注

    31

    文章

    5363

    瀏覽量

    121169
  • 芯片設(shè)計
    +關(guān)注

    關(guān)注

    15

    文章

    1028

    瀏覽量

    55008
  • 計數(shù)器
    +關(guān)注

    關(guān)注

    32

    文章

    2261

    瀏覽量

    94983
  • 形式驗證
    +關(guān)注

    關(guān)注

    0

    文章

    8

    瀏覽量

    5715
  • SVA
    SVA
    +關(guān)注

    關(guān)注

    1

    文章

    19

    瀏覽量

    10152
收藏 人收藏

    評論

    相關(guān)推薦

    英諾達發(fā)布全新靜態(tài)驗證產(chǎn)品,提升芯片設(shè)計效率

    了重要一步,將為中國芯片產(chǎn)業(yè)的發(fā)展注入新的活力。 靜態(tài)驗證作為一種業(yè)界普遍使用的驗證方法,通過對設(shè)計的源代碼進行深入分析,能夠發(fā)現(xiàn)設(shè)計的潛在問題。與動態(tài)仿真
    的頭像 發(fā)表于 12-24 16:53 ?411次閱讀

    LIMS系統(tǒng)芯片實驗室的應(yīng)用

    高速發(fā)展的芯片行業(yè)芯片實驗室作為技術(shù)創(chuàng)新和產(chǎn)品驗證的核心部門,面臨著諸多挑戰(zhàn)與問題。這些問題不僅影響了實驗室的工作效率,還可能對
    的頭像 發(fā)表于 11-07 17:59 ?369次閱讀

    FPGA算法工程師、邏輯工程師、原型驗證工程師有什么區(qū)別?

    ,共同進步。 歡迎加入FPGA技術(shù)微信交流群14群! 交流問題(一) Q:FPGA的FPGA算法工程師、FPGA邏輯工程師、FPGA原型驗證工程
    發(fā)表于 09-23 18:26

    形式驗證如何加速超大規(guī)模芯片設(shè)計?

    引言隨著集成電路規(guī)模的不斷擴大,從設(shè)計到流片(Tape-out)的全流程驗證環(huán)節(jié)的核心地位日益凸顯。有效的驗證不僅是設(shè)計完美的基石,更是確保電路實際應(yīng)用
    的頭像 發(fā)表于 08-30 12:45 ?626次閱讀
    <b class='flag-5'>形式</b><b class='flag-5'>驗證</b>如何加速超大規(guī)模<b class='flag-5'>芯片</b>設(shè)計?

    芯片失效分析中常見的測試設(shè)備及其特點

    芯片失效分析,常用的測試設(shè)備種類繁多,每種設(shè)備都有其特定的功能和用途,本文列舉了一些常見的測試設(shè)備及其特點。
    的頭像 發(fā)表于 08-07 17:33 ?1015次閱讀
    <b class='flag-5'>芯片</b>失效分析中常見的測試設(shè)備<b class='flag-5'>及其</b>特點

    SSID和密碼是否以加密形式存儲ESP8266

    1.) SSID和密碼是否以加密形式存儲ESP8266。如果是,加密格式是什么? 2.) 芯片的唯一MAC ID是否加密?
    發(fā)表于 07-22 07:35

    機器學習的交叉驗證方法

    機器學習,交叉驗證(Cross-Validation)是一種重要的評估方法,它通過將數(shù)據(jù)集分割成多個部分來評估模型的性能,從而避免過擬合或欠擬合問題,并幫助選擇最優(yōu)的超參數(shù)。本文將詳細探討幾種
    的頭像 發(fā)表于 07-10 16:08 ?1453次閱讀

    繼電器的常見封裝形式及其特點

    繼電器作為電氣控制系統(tǒng)不可或缺的關(guān)鍵元件,其封裝形式不僅關(guān)系到繼電器本身的性能和使用壽命,還對整個系統(tǒng)的穩(wěn)定性和可靠性有著重要影響。隨著電子技術(shù)的不斷發(fā)展和應(yīng)用領(lǐng)域的不斷拓展,繼電器的封裝形式也日益多樣化。本文將對繼電器的常見
    的頭像 發(fā)表于 05-21 18:26 ?2652次閱讀

    芯片測試和芯片驗證的區(qū)別

    這是芯片在設(shè)計過程的一個環(huán)節(jié),主要通過EDA(電子設(shè)計自動化)工具進行仿真檢驗。它的主要目的是芯片生產(chǎn)之前,驗證
    的頭像 發(fā)表于 05-08 16:52 ?2316次閱讀

    振弦采集儀巖土工程監(jiān)測的精度驗證與校準方法研究

    振弦采集儀巖土工程監(jiān)測的精度驗證與校準方法研究 河北穩(wěn)控科技振弦采集儀是一種常用的巖土工程監(jiān)測儀器,用于測量地下水位、土壤壓力和地表位移
    的頭像 發(fā)表于 05-08 13:55 ?291次閱讀
    振弦采集儀<b class='flag-5'>在</b>巖土<b class='flag-5'>工程</b>監(jiān)測<b class='flag-5'>中</b>的精度<b class='flag-5'>驗證</b>與校準方法研究

    巖土工程監(jiān)測振弦采集儀隧道工程的監(jiān)測與應(yīng)用

    工程的監(jiān)測與應(yīng)用以及其優(yōu)點和局限性等方面進行闡述。 巖土工程監(jiān)測振弦采集儀隧道工程
    的頭像 發(fā)表于 04-09 13:13 ?311次閱讀
    巖土<b class='flag-5'>工程</b>監(jiān)測振弦采集儀<b class='flag-5'>在</b>隧道<b class='flag-5'>工程</b><b class='flag-5'>中</b>的監(jiān)測與應(yīng)用

    555集成芯片的封裝形式

    555集成芯片的封裝形式主要有DIP8封裝、SOP8封裝以及金屬封裝和環(huán)氧樹脂封裝等。其中,DIP8封裝是555芯片的經(jīng)典封裝形式,包含了芯片
    的頭像 發(fā)表于 03-26 14:44 ?1371次閱讀

    fpga原型驗證平臺與硬件仿真器的區(qū)別

    FPGA原型驗證平臺與硬件仿真器芯片設(shè)計和驗證過程各自發(fā)揮著獨特的作用,它們之間存在明顯的區(qū)別。
    的頭像 發(fā)表于 03-15 15:07 ?1238次閱讀

    fpga驗證和uvm驗證的區(qū)別

    FPGA驗證和UVM驗證芯片設(shè)計和驗證過程中都扮演著重要的角色,但它們之間存在明顯的區(qū)別。
    的頭像 發(fā)表于 03-15 15:00 ?1771次閱讀

    功率放大器聲波截面梯度場的重建及其聲波場處理的應(yīng)用

      實驗名稱:電壓放大器聲波截面梯度場的重建及其聲波場處理的應(yīng)用   實驗內(nèi)容:水下聲信號傳播過程中會引起介質(zhì)折射率的變化,當激光穿
    發(fā)表于 03-08 17:45
    万龙百家乐的玩法技巧和规则| 网上百家乐合法吗| 监利县| 百家乐网站那个诚信好| 在线百家乐官网下| 百家乐官网赌场作弊| 大发888百家乐| 网上百家乐网站导航| 百家乐官网双筹码怎么出千| 阿勒泰市| 大发888网站是多少| 澳门百家乐现场游戏| 678百家乐官网博彩娱乐场开户注册 | 百家乐电子发牌盒| 红宝石百家乐官网的玩法技巧和规则 | 澳门百家乐公司| 百家乐官网最好打法与投注| 七胜国际娱乐| 太阳城申博娱乐| 百家乐赌博千术| 新濠百家乐官网的玩法技巧和规则 | 百家乐官网赚钱项目| 娱乐城注册送钱| 威尼斯人娱乐城博彩网站| 豪博百家乐娱乐城| 24楼层风水| 百家乐官网赌博破解方法| 百家乐官网美女荷官| 德州扑克 视频| 太阳城娱乐总站| 百家乐空调维修| 御匾会百家乐官网娱乐城 | 大发888娱乐城注册| 尊龙百家乐娱乐场| 百家乐怎么玩能赢钱| 大上海百家乐官网娱乐城| 百家乐官网赌博代理荐| 百家乐官网澳门百家乐官网| tt娱乐城网址| 大发888鸿博博彩| 百家乐园太阳|