吴忠躺衫网络科技有限公司

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

UVM中的虛擬序列:為什么,如何?

星星科技指導員 ? 來源:synopsys ? 作者:Hari Balisetty,Broa ? 2023-05-29 09:46 ? 次閱讀

大多數UVM測試平臺由可重復使用的驗證組件組成,除非我們正在對像MIPI-CSI這樣的簡單協議進行塊級驗證。考慮驗證簡單協議的場景;在這種情況下,我們可以忍受只有一個音序器將刺激發送給驅動器。頂級測試將使用此序列器來處理序列(如上一篇博客文章中所述)。在這里,我們可能不需要虛擬序列(或虛擬序列器)。

但是,當我們嘗試將此IP集成到我們的SOC(或頂級塊)中時,我們肯定要考慮重用用于驗證這些塊的測試平臺組件。讓我們考慮一個簡單的案例,其中我們正在集成兩個這樣的塊:兩個音序器驅動這兩個塊。從頂級測試來看,我們需要一種方法來控制這兩個音序器。

這可以通過使用虛擬序列器和虛擬序列來實現。另一種方法是通過將序列器傳遞給啟動方法,從頂級測試顯式調用序列的啟動方法。

我將通過一個例子來解釋這種用法,其中 USB 主機集成在 AXI 環境中。讓我們看看如何從頂級測試中控制USB音序器和AXI音序器。對于此特定測試,我想配置 AXI 寄存器,然后發送 USB 傳輸。對于配置 AXI 寄存器,我使用序列說axi_cfg_reg_sequence,對于發送 USB 傳輸,我使用我在上一篇博客文章中使用的序列 (usb_complex_sequence)。下面是一個示例,其中在不使用虛擬序列的情況下控制多個序列器。

//Top-level test where multiple sequencers are controlled from the
//phase method.
class axi_cfg_usb_bulk_test extends uvm_test;
  `uvm_component_utils(usb_ltssm_bulk_test)

  //Sequences which needs to be exercised
  usb_reset_sequence u_reset_seq;
  axi_reset_sequence a_reset_seq;
  usb_complex_sequence u_bulk_seq;
  axi_cfg_reg_sequence a_cfg_reg_seq;

  function new (strint name=”axi_cfg_usb_bulk_test”,
                uvm_component parent=null);
    …
  endfunction: new

  //Call the reset sequences in the reset_phase
  virtual task reset_phase (uvm_phase phase);
    phase.raise_objections(this);
    …
    //Executing sequences by calling the start method directly by passing the
    //corresponding sequencer
    a_reset_seq.start(env.axi_master_agent_obj.sequencer);
    u_reset_seq.start(env.usb_host_agent_obj.sequencer);
    …
    phase.drop_objections(this);
  endtask:reset_phase

  virtual task main_phase (uvm_phase phase);
    phase.raise_objections(this);
    …
    //Executing sequences by calling the start method directly by passing the
    //corresponding sequencer
    a_cfg_reg_seq.start(env.axi_master_agent_obj.sequencer);
    u_bulk_seq.start(env.usb_host_agent_obj.sequencer);
    …
    phase.drop_objections(this);
  endtask:main_phase
endclass: axi_cfg_usb_bulk_test

這不是控制序列器的最有效方法,因為我們直接在測試中使用簡單的序列并使其變得復雜。通過這樣做,我們無法進一步重用這些復雜的場景來開發更復雜的場景。相反,如果我們嘗試創建一個序列并在測試中使用該序列,那么我們也可以在其他測試(或序列)中重用這些序列。此外,與在頂級測試中創建整個方案相比,維護和調試這些序列將更容易。

在理解了為什么我們需要虛擬序列和虛擬序列器之后,讓我們看看如何通過上面顯示的相同示例來實現這一點。

我們需要做的第一件事是創建一個虛擬序列器。請注意,虛擬序列只能與虛擬序列器關聯(但不能與非虛擬序列器關聯)。虛擬排序器也像任何其他非虛擬排序器一樣派生自uvm_sequencer,但不附加到任何驅動程序。虛擬音序器引用了我們嘗試控制的音序器。這些引用從頂部環境分配給非虛擬序列器。

//Virtual sequencer having references to non-virtual sequencers
Class system_virtual_sequencer extends uvm_sequencer;
  //References to non-virtual sequencer
  usb_sequencer usb_seqr;
  axi_sequencer axi_seqr;

  function new (string name=”usb_ltssm_bulk_test”,
                uvm_component parent=null);
    …
  endfunction: new

  `uvm_component_utils(system_virtual_sequencer)

endclass: system_virtual_sequencer

//Top level environment, where virtual sequencer’s references
//are connected to non-virtual sequencers
class system_env extends uvm_env;
  //Agents where the non-virtual sequencers are present
  usb_host_agent usb_host_agent_obj;
  axi_master_agent axi_master_agent_obj;
  //Virtual sequencer
  system_virtual_sequencer sys_vir_seqr;

  `uvm_component_utils(system_env)

  function new (string name=”system_env”, uvm_component parent=null);
   …
  endfunction: new

  function void connect_phase(uvm_phase phase);
    //Assigning the virtual sequencer’s references to non-virtual sequencers
    sys_vir_seqr.usb_seqr = usb_host_agent_obj.sequencer;
    sys_vir_seqr.axi_seqr = axi_master_agent_obj.sequencer;
  endfunction: connect_phase

endclass: system_virtual_sequencer

現在我們有虛擬序列器,其中包含對非虛擬序列器的引用,我們想要控制這些序列,讓我們看看如何使用虛擬序列控制這些非虛擬序列器。

虛擬序列與任何其他序列相同,但與非虛擬序列不同,它與虛擬序列器相關聯,因此它需要指示它必須使用哪個非虛擬序列來執行基礎序列。另請注意,虛擬序列只能執行序列或其他虛擬序列,而不能執行項目。使用“uvm_do_on/”uvm_do_on_with執行非虛擬序列,使用“uvm_do/”uvm_do_with執行其他虛擬序列。

//virtual sequence for reset operation
class axi_usb_reset_virtual_sequence extends uvm_sequence;

  `uvm_object_utils(axi_usb_reset_virtual_sequence)

  //non-virtual reset sequences
  usb_reset_sequence u_reset_seq;
  axi_reset_sequence a_reset_seq;

  function new (string name=” axi_usb_reset_virtual_sequence”,
                uvm_component parent=null);
    …
  endfunction: new

  …

  task body();
    …
    //executingnon-virtual sequence on the corresponding
    //non-virtual sequencer using `uvm_do_on
    `uvm_do_on(a_reset_seq, p_sequencer.axi_seqr)
    a_reset_seq.get_response();
    `uvm_do_on(u_reset_seq, p_sequencer.usb_seqr)
    u_reset_seq.get_response();
  endtask: body

endclass: axi_usb_reset_virtual_sequence

//virtual sequence for doing axi register configuration
//followed by USB transfer
class axi_cfg_usb_bulk_virtual_sequence extends uvm_sequence;

  `uvm_object_utils(axi_cfg_usb_bulk_virtual_sequence)
  `uvm_declare_p_sequencer(system_virtual_sequencer)

  //Re-using the non-virtual sequences
  usb_complex_sequence u_bulk_seq;
  axi_cfg_reg_sequence a_cfg_reg_seq;

  function new (string name=” axi_cfg_usb_bulk_virtual_sequence”,
                uvm_component parent=null);
    …
  endfunction: new

  task body();
    …
    //executingnon-virtual sequence on the corresponding
    //non-virtual sequencer using `uvm_do_on
    `uvm_do_on(a_cfg_reg_seq, p_sequencer.axi_seqr)
    a_cfg_req_seq.get_response();
    `uvm_do_on(u_bulk_seq, p_sequencer.usb_seqr)
    u_bulk_seq.get_response();
  endtask: body

endclass: axi_cfg_usb_bulk_virtual_sequence

在上面的虛擬序列中,我們執行axi_cfg_reg_sequence然后執行usb_complex_sequence。現在虛擬序列和虛擬序列器已經準備就緒,讓我們看看如何從頂級測試中執行此虛擬序列。

//virtual sequence for reset operation
class axi_usb_reset_virtual_sequence extends uvm_sequence;

  `uvm_object_utils(axi_usb_reset_virtual_sequence)

  //non-virtual reset sequences
  usb_reset_sequence u_reset_seq;
  axi_reset_sequence a_reset_seq;

  function new (string name=” axi_usb_reset_virtual_sequence”,
                uvm_component parent=null);
    …
  endfunction: new

  …

  task body();
    …
    //executingnon-virtual sequence on the corresponding
    //non-virtual sequencer using `uvm_do_on
    `uvm_do_on(a_reset_seq, p_sequencer.axi_seqr)
    a_reset_seq.get_response();
    `uvm_do_on(u_reset_seq, p_sequencer.usb_seqr)
    u_reset_seq.get_response();
  endtask: body

endclass: axi_usb_reset_virtual_sequence

//virtual sequence for doing axi register configuration
//followed by USB transfer
class axi_cfg_usb_bulk_virtual_sequence extends uvm_sequence;

  `uvm_object_utils(axi_cfg_usb_bulk_virtual_sequence)
  `uvm_declare_p_sequencer(system_virtual_sequencer)

  //Re-using the non-virtual sequences
  usb_complex_sequence u_bulk_seq;
  axi_cfg_reg_sequence a_cfg_reg_seq;

  function new (string name=” axi_cfg_usb_bulk_virtual_sequence”,
                uvm_component parent=null);
    …
  endfunction: new

  task body();
    …
    //executingnon-virtual sequence on the corresponding
    //non-virtual sequencer using `uvm_do_on
    `uvm_do_on(a_cfg_reg_seq, p_sequencer.axi_seqr)
    a_cfg_req_seq.get_response();
    `uvm_do_on(u_bulk_seq, p_sequencer.usb_seqr)
    u_bulk_seq.get_response();
  endtask: body

endclass: axi_cfg_usb_bulk_virtual_sequence

到目前為止,我們了解為什么以及如何使用虛擬序列。在使用虛擬序列和虛擬序列器時,我們還應該記住一些事情,以節省大量的調試時間。

1. 在配置序列中的變量(使用虛擬序列執行)時,我們必須使用通過虛擬序列的路徑。在上面的示例中,使用非虛擬序列器路徑在較低級別的序列中設置變量將不起作用。

uvm_config_db#(int unsigned)::set(this,“env.usb_host_agent_obj.sequencer.u_bulk_sequence”,“sequence_length”,10);

即使u_bulk_sequence在 usb_host_agent_obj.sequencer 上運行,這也不起作用,因為此序列是由虛擬序列創建的,因此分層路徑應來自虛擬序列,但不使用非虛擬序列器。因此,設置變量的正確方法是使用虛擬序列路徑。

uvm_config_db#(int unsigned)::set(this,“env.sys_vir_seqr.axi_cfg_usb_bulk_virtual_sequence.u_bulk_sequence”,“sequence_length”,10);

對于工廠覆蓋也是如此。例如,由于上述原因相同,下面的工廠覆蓋將不起作用。

set_inst_override_by_type(“env.usb_host_agent_obj.*”,usb_transfer_item::get_type(), cust_usb_transfer_item::get_type());

在上面的示例中,我們嘗試使用頂級測試中的新派生類型更改基礎序列項。為此,我們需要使用虛擬序列器路徑。

set_inst_override_by_type(“env.sys_vir_seqr.*”,usb_transfer_item::get_type(), cust_usb_transfer_item::get_type());

經驗法則是:
? 如果序列是由虛擬序列直接或間接創建的,則工廠覆蓋或配置中的任何分層路徑都應使用虛擬序列器的分層路徑。
? 如果序列是由非虛擬序列創建的,則工廠覆蓋或配置中的任何分層路徑都應使用非虛擬序列器的分層路徑。

2. 即使我們有虛擬序列器來控制多個序列器,在某些測試中,我們可能只需要一個序列器(例如單獨的 USB 序列器)。在這種情況下,我們必須直接使用非虛擬序列器的分層路徑(而不是虛擬序列器的引用路徑)來配置變量或工廠覆蓋。使用虛擬序列器的引用路徑將不起作用,因為非虛擬序列器的層次結構不正確。

uvm_config_db#(uvm_object_wrapper)::set(this, “env.sys_vir_seqr.usb_seqr.main_phase”, “default_sequence”, usb_complex_sequence::type_id::get());

上述配置將不起作用,因為非虛擬序列器 (usb_seqr/usb_host_agent_obj.sequencer) 實際上是在代理中創建的,因此此排序器的父級是代理,而不是虛擬序列器,盡管引用在虛擬序列器中。因此,在嘗試在實際序列器中設置變量時,我們不應使用虛擬序列器路徑,而是必須使用通過代理的分層路徑(序列器的實際父級)。

uvm_config_db#(uvm_object_wrapper)::set(this, “env.usb_host_agent_obj.sequencer.main_phase”, “default_sequence”, usb_complex_sequence::type_id::get());

3. 每當我們使用虛擬音序器并希望從虛擬音序器控制非虛擬音序器時,請確保將所有實際音序器中的default_sequence設置為 null。

uvm_config_db#(uvm_object_wrapper)::set(this, “env.usb_host_agent_obj.sequencer.main_phase”, “default_sequence”, null);
uvm_config_db#(uvm_object_wrapper)::set(this, “env.axi_master_agent_obj.sequencer.main_phase”, “default_sequence”, null);

這很重要,因為如果有任何default_sequence集,那么我們的非虛擬序列器將同時運行虛擬序列中的default_sequence和序列。要僅從虛擬序列器控制非虛擬序列器,我們需要將非虛擬序列器的default_sequence設置為 null。

我希望您發現這篇文章有助于理解虛擬序列并通過概述的指南節省調試時間。我相信在使用虛擬序列時會還有其他準則,我們學習調試復雜環境的更難的方法;請與我分享任何此類準則。

審核編輯:郭婷

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • UVM
    UVM
    +關注

    關注

    0

    文章

    182

    瀏覽量

    19227
  • MIPI
    +關注

    關注

    11

    文章

    312

    瀏覽量

    48765
  • 音序器
    +關注

    關注

    0

    文章

    30

    瀏覽量

    3879
收藏 人收藏

    評論

    相關推薦

    UVM序列的創建和運行及中斷服務程序實現方案

    SystemVerilog通用驗證方法(UVM)是一種生成測試和檢查結果以進行功能驗證的有效方法,最適合用于塊級IC或FPGA或其他“小型”系統。在UVM測試臺中,大多數活動是通過編寫序列來生
    的頭像 發表于 04-09 16:09 ?4356次閱讀
    <b class='flag-5'>UVM</b><b class='flag-5'>序列</b>的創建和運行及中斷服務程序實現方案

    數字IC驗證之“什么是UVM”“UVM的特點”“UVM提供哪些資源”(2)連載...

    原文鏈接:https://zhuanlan.zhihu.com/p/345775995大家好,我是一哥,上章內容主要講述兩個內容,芯片驗證以及驗證計劃。那本章我們主要講述的內容有介紹什么是uvm
    發表于 01-21 16:00

    什么是uvmuvm的特點有哪些呢

    直觀的印象,就是uvm驗證平臺,它是分層的結構。圖中的每一個巨型框都代表著平臺的一個構成元素。這些元素呢,我們稱為平臺組建,下面來簡單的分析一下。從最底層上來看,agent 包含了driver,monitor和sequencer,其中driver ,monitor
    發表于 02-14 06:46

    請問一下在UVM的UVMsequences是什么意思啊

    UVM方法學,UVMsequences 是壽命有限的對象。UVM sequences從uvm_sequence_item基類擴展得到,uvm
    發表于 04-11 16:43

    如何構建UVM寄存器模型并將寄存器模型集成到驗證環境

    ),通常也叫寄存器模型,顧名思義就是對寄存器這個部件的建模。本文要介紹的內容,包括對UVM寄存器模型的概述,如何構建寄存器模型,以及如何將寄存器模型集成到驗證環境。篇幅原因,將在下一篇文章再給出寄存器
    發表于 09-23 14:29

    談談UVMuvm_info打印

    uvm_report_enabled(xxx),會分析傳過來的severity和id的配置verbosity要大于傳過來的verbosity,(get_report_verbosity_level(severity, id
    發表于 03-17 16:41

    UVMseq.start()和default_sequence執行順序

      1. 問題  假如用以下兩種方式啟動sequence,方法1用sequence的start()方法啟動seq1,方法2用UVM的default_sequence機制啟動seq2。那么seq1
    發表于 04-04 17:15

    UVM的可重用序列

    眾所周知,序列由幾個數據項組成,它們共同構成了一個有趣的場景。序列可以是分層的,從而創建更復雜的方案。在最簡單的形式序列應該是 uvm_
    的頭像 發表于 05-29 09:50 ?728次閱讀

    創建UVM Testcase的步驟

    UVM,Testcase是一個類,它封裝了測試用例開發者編寫的特定激勵序列
    的頭像 發表于 06-15 09:41 ?1674次閱讀
    創建<b class='flag-5'>UVM</b> Testcase的步驟

    UVMuvm_config_db機制背后的大功臣

    本次講一下UVMuvm_config_db,在UVM中提供了一個內部數據庫,可以在其中存儲給定名稱下的值,之后可以由其它TB組件去檢索。
    的頭像 發表于 06-20 17:28 ?1521次閱讀

    如何用Verdi查看UVM環境的變量?

    我們常用的debug UVM的方法是通過打印log實現。有沒有辦法像 debug RTL代碼一樣將 UVM 變量拉到波形上看呢?答案是有的,下面讓我們看看是怎么做到的。
    的頭像 發表于 06-25 16:01 ?1870次閱讀
    如何用Verdi查看<b class='flag-5'>UVM</b>環境<b class='flag-5'>中</b>的變量?

    UVMuvm_config_db機制背后的大功臣

    本次講一下UVMuvm_config_db,在UVM中提供了一個內部數據庫,可以在其中存儲給定名稱下的值,之后可以由其它TB組件去檢索。
    的頭像 發表于 06-29 16:57 ?1385次閱讀

    一文詳解UVM設計模式

    本篇是對UVM設計模式 ( 二 ) 參數化類、靜態變量/方法/類、單例模式、UVM_ROOT、工廠模式、UVM_FACTORY[1]單例模式的補充,分析靜態類的使用,
    的頭像 發表于 08-06 10:38 ?2079次閱讀
    一文詳解<b class='flag-5'>UVM</b>設計模式

    行為型設計模式在UVM的應用

    接下來介紹行為型設計模式在UVM的應用。
    的頭像 發表于 08-09 14:01 ?751次閱讀
    行為型設計模式在<b class='flag-5'>UVM</b><b class='flag-5'>中</b>的應用

    UVM設計的sequence啟動方式有哪幾種呢?

    本篇介紹UVM的sequence,這是UVM中最基礎的部分。對于前面介紹的uvm_callback, uvm_visitor等,很少被使用
    的頭像 發表于 08-17 10:07 ?4700次閱讀
    <b class='flag-5'>UVM</b>設計<b class='flag-5'>中</b>的sequence啟動方式有哪幾種呢?
    百家乐试玩1000元| r百家乐官网娱乐下载| 百家乐官网高手打| 威尼斯人娱乐百利宫| 百家乐官网最好打法与投注 | 百家乐官网牌九| 威尼斯人娱乐老| 真人百家乐官网娱乐场| 新梦想百家乐的玩法技巧和规则 | 威尼斯人娱乐城澳门赌场| 定制百家乐官网桌垫| 网上赌百家乐有假| 百家乐官网视频网络游戏| 百家乐外套| 大世界百家乐官网现金网| 百家乐赢钱秘籍鹰| 百家乐官网游戏全讯网2| 涂山百家乐的玩法技巧和规则| 博彩百家乐官网龙虎| 同花顺百家乐娱乐城| 老k百家乐官网游戏| 大发888娱乐场玩什么| 手机百家乐官网的玩法技巧和规则| 大发888国际娱乐net| 太阳百家乐官网网址| 瑞金市| 百家乐赌博现金网| 百家乐官网博彩金| 威尼斯人娱乐城赌球| 百家乐官网蓝盾有赢钱的吗| 大发888唯一官网| 百家乐官网群shozo权威| 塔城市| 中国百家乐技巧| 百家乐官网薯片| 环球代理| 百家乐怎么做弊| 百家乐官网真人荷官网| 一二博网| 博彩百家乐字谜总汇| 千亿百家乐官网的玩法技巧和规则 |