新思科技平臺提供強化功能,以支持臺積公司N3和N4制程的新要求
新思科技Fusion設計平臺能夠提供更快的時序收斂,并確保從綜合到時序和物理簽核的全流程相關性 ,可顯著提高生產力
新思科技(Synopsys)近日宣布其數字定制設計平臺已獲臺積公司N3制程技術認證,雙方將共同優化下一代芯片的功耗、性能和面積(PPA)?;诙嗄甑拿芮泻献?,本次經嚴格驗證的認證是基于臺積公司最新版本的設計規則手冊(DRM)和制程設計套件(PDK)。此外,新思科技的數字和定制設計平臺還通過了臺積公司N4制程的認證。
“多年的密切合作使得新思科技的設計平臺解決方案在臺積公司最先進工藝上獲得了認證。在下一代HPC、移動、5G和AI等應用領域,我們將共同協助客戶實現PPA優化,幫助客戶快速將創新產品推向市場。”
——Suk Lee
臺積公司設計基礎設施
管理事業部副總經理
該數字設計流程以新思科技Fusion設計平臺為基礎,采用最新的先進技術確保更快的時序收斂,并實現了從綜合到布局布線、再到時序及物理簽核的全流程相關性。該平臺得到強化后,將提供性能更佳的綜合和全局布局器引擎,從而優化庫單元選擇和布局結果。為支持臺積公司的超低電壓設計收斂,新思科技對優化引擎進行了改進,以便使用全新的內存優化算法。這些新技術是兩家公司戰略合作的成果,將為采用臺積公司N3制程的設計帶來PPA的大幅提升。
Custom Compiler設計和版圖解決方案是新思科技Custom Design Platform的一部分,能夠為使用臺積公司先進制程技術的開發者提供更高的生產力。Custom Compiler的諸多強化功能可減少為了滿足N3技術要求而投入的工作量,已獲得諸多早期采用N3制程的用戶的驗證,這其中也包括新思科技DesignWare IP團隊。新思科技PrimeSim Continuum解決方案中的PrimeSim HSPICE、PrimeSim SPICE、PrimeSimPro和PrimeSimXA仿真器,可縮短基于臺積公司N3制程的設計迭代時間,并為電路仿真和可靠性要求提供簽核。
“通過與臺積公司的持續合作,我們為采用臺積公司先進的N3制程技術的設計提供了高度定制化的解決方案,讓客戶對成功設計出復雜的SoC更有信心。基于這些技術創新,開發者可以充分利用在PPA方面的重大提升,實現下一代HPC、移動、5G和AI芯片設計?!?/p>
——Shankar Krishnamoorthy
新思科技數字設計事業部總經理
新思科技設計平臺中的以下關鍵產品已經強化,可滿足制程技術要求:
數字設計解決方案
Fusion Compiler RTL-to-GDSII解決方案
Design Compiler NXT綜合解決方案
IC Compiler II布局布線解決方案
簽核
PrimeTime時序簽核解決方案
PrimePower功耗分析
StarRC寄生參數提取簽核
IC Validator物理驗證解決方案
Tweaker ECO收斂解決方案
NanoTime定制電路時序簽核
ESP-CV定制電路功能驗證
QuickCap NX寄生參數提取3D現場求解器
SPICE仿真和定制設計
PrimeSim HSPICE、PrimeSim SPICE和PrimeSim Pro仿真解決
方案
PrimeSim XA可靠性分析
Custom Compiler定制電路設計
責任編輯:haq
-
IC
+關注
關注
36文章
5983瀏覽量
176224 -
新思科技
+關注
關注
5文章
808瀏覽量
50424
原文標題:新思科技數字和定制設計平臺獲得臺積公司N3制程認證
文章出處:【微信號:Synopsys_CN,微信公眾號:新思科技】歡迎添加關注!文章轉載請注明出處。
發布評論請先 登錄
相關推薦
評論