吴忠躺衫网络科技有限公司

0
  • 聊天消息
  • 系統消息
  • 評論與回復
登錄后你可以
  • 下載海量資料
  • 學習在線課程
  • 觀看技術視頻
  • 寫文章/發帖/加入社區
會員中心
創作中心

完善資料讓更多小伙伴認識你,還能領取20積分哦,立即完善>

3天內不再提示

新思科技數字定制設計平臺已獲臺積公司N3制程技術認證

新思科技 ? 來源:新思科技 ? 作者:新思科技 ? 2021-11-16 11:06 ? 次閱讀

新思科技平臺提供強化功能,以支持臺積公司N3和N4制程的新要求

新思科技Fusion設計平臺能夠提供更快的時序收斂,并確保從綜合到時序和物理簽核的全流程相關性 ,可顯著提高生產力

新思科技(Synopsys)近日宣布其數字定制設計平臺已獲臺積公司N3制程技術認證,雙方將共同優化下一代芯片的功耗、性能和面積(PPA)?;诙嗄甑拿芮泻献?,本次經嚴格驗證的認證是基于臺積公司最新版本的設計規則手冊(DRM)和制程設計套件(PDK)。此外,新思科技的數字和定制設計平臺還通過了臺積公司N4制程的認證。

“多年的密切合作使得新思科技的設計平臺解決方案在臺積公司最先進工藝上獲得了認證。在下一代HPC、移動、5GAI等應用領域,我們將共同協助客戶實現PPA優化,幫助客戶快速將創新產品推向市場。”

——Suk Lee

臺積公司設計基礎設施

管理事業部副總經理

該數字設計流程以新思科技Fusion設計平臺為基礎,采用最新的先進技術確保更快的時序收斂,并實現了從綜合到布局布線、再到時序及物理簽核的全流程相關性。該平臺得到強化后,將提供性能更佳的綜合和全局布局器引擎,從而優化庫單元選擇和布局結果。為支持臺積公司的超低電壓設計收斂,新思科技對優化引擎進行了改進,以便使用全新的內存優化算法。這些新技術是兩家公司戰略合作的成果,將為采用臺積公司N3制程的設計帶來PPA的大幅提升。

Custom Compiler設計和版圖解決方案是新思科技Custom Design Platform的一部分,能夠為使用臺積公司先進制程技術的開發者提供更高的生產力。Custom Compiler的諸多強化功能可減少為了滿足N3技術要求而投入的工作量,已獲得諸多早期采用N3制程的用戶的驗證,這其中也包括新思科技DesignWare IP團隊。新思科技PrimeSim Continuum解決方案中的PrimeSim HSPICE、PrimeSim SPICE、PrimeSimPro和PrimeSimXA仿真器,可縮短基于臺積公司N3制程的設計迭代時間,并為電路仿真和可靠性要求提供簽核。

“通過與臺積公司的持續合作,我們為采用臺積公司先進的N3制程技術的設計提供了高度定制化的解決方案,讓客戶對成功設計出復雜的SoC更有信心。基于這些技術創新,開發者可以充分利用在PPA方面的重大提升,實現下一代HPC、移動、5G和AI芯片設計?!?/p>

——Shankar Krishnamoorthy

新思科技數字設計事業部總經理

新思科技設計平臺中的以下關鍵產品已經強化,可滿足制程技術要求:

數字設計解決方案

Fusion Compiler RTL-to-GDSII解決方案

Design Compiler NXT綜合解決方案

IC Compiler II布局布線解決方案

簽核

PrimeTime時序簽核解決方案

PrimePower功耗分析

StarRC寄生參數提取簽核

IC Validator物理驗證解決方案

Tweaker ECO收斂解決方案

NanoTime定制電路時序簽核

ESP-CV定制電路功能驗證

QuickCap NX寄生參數提取3D現場求解器

SPICE仿真和定制設計

PrimeSim HSPICE、PrimeSim SPICE和PrimeSim Pro仿真解決

方案

PrimeSim XA可靠性分析

Custom Compiler定制電路設計

責任編輯:haq

聲明:本文內容及配圖由入駐作者撰寫或者入駐合作網站授權轉載。文章觀點僅代表作者本人,不代表電子發燒友網立場。文章及其配圖僅供工程師學習之用,如有內容侵權或者其他違規問題,請聯系本站處理。 舉報投訴
  • IC
    IC
    +關注

    關注

    36

    文章

    5983

    瀏覽量

    176224
  • 新思科技
    +關注

    關注

    5

    文章

    808

    瀏覽量

    50424

原文標題:新思科技數字和定制設計平臺獲得臺積公司N3制程認證

文章出處:【微信號:Synopsys_CN,微信公眾號:新思科技】歡迎添加關注!文章轉載請注明出處。

收藏 人收藏

    評論

    相關推薦

    蘋果M5芯片量產,采用N3P制程工藝

    工藝——N3P。與前代工藝相比,N3P在性能上實現了約5%的提升,同時在功耗方面降低了5%至10%。這一顯著的進步意味著,搭載M5芯片的設備將能夠提供更強大的處理能力,同時擁有更出色的電池續航能力。 除了制程工藝的提升,蘋果M5
    的頭像 發表于 02-06 14:17 ?57次閱讀

    電2納米制程技術細節公布:性能功耗雙提升

    在近日于舊金山舉行的IEEE國際電子器件會議(IEDM)上,全球領先的晶圓代工企業電揭曉了其備受期待的2納米(N2)制程技術的詳細規格。
    的頭像 發表于 12-19 10:28 ?317次閱讀

    電2納米制程技術細節公布

    近日,在舊金山舉辦的IEEE國際電子器件會議(IEDM)上,全球領先的晶圓代工企業電揭示了其備受期待的2納米(N2)制程技術的詳盡信息。
    的頭像 發表于 12-18 10:35 ?411次閱讀

    思科技再獲公司多項OIP年度合作伙伴大獎

    半導體技術領域的發展速度十分驚人,新思科技與公司(TSMC)始終處于行業領先地位,不斷突破技術
    的頭像 發表于 10-31 14:28 ?303次閱讀

    3nm制程需求激增,全年營收預期上調

    電近期迎來3nm制程技術的出貨高潮,預示著其在半導體制造領域的領先地位進一步鞏固。隨著蘋果iPhone 16系列新機發布,預計搭載的A1
    的頭像 發表于 09-10 16:56 ?710次閱讀

    電2nm制程近況佳,N3X、N2P以及A16節點已在規劃中

    電聯合首席運營官張曉強進一步指出,2nm制程的研發正處于“非常順利”的狀態:納米片的“轉換效果”已達預定目標中的90%,良率亦超過80%。
    的頭像 發表于 05-24 16:38 ?924次閱讀

    N3P工藝新品投產,性能提質、成本減負

    N3E工藝的批量生產預期如期進行,其缺陷密度與2020年量產的N5工藝相當。電對N3E的良率評價頗高,目前僅有的采用
    的頭像 發表于 05-17 09:17 ?1146次閱讀

    思科技物理驗證解決方案已獲公司N3P和N2工藝技術認證

    由Synopsys.ai EDA套件賦能可投產的數字和模擬設計流程能夠針對臺公司N3/N3P和N
    的頭像 發表于 05-14 10:36 ?502次閱讀
    新<b class='flag-5'>思科</b>技物理驗證解決方案<b class='flag-5'>已獲</b>得<b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>公司</b><b class='flag-5'>N3</b>P和<b class='flag-5'>N</b>2工藝<b class='flag-5'>技術</b><b class='flag-5'>認證</b>

    思科技與公司深化EDA與IP合作

    思科技近日與公司宣布,在先進工藝節點設計領域開展了廣泛的EDA和IP合作。雙方的合作成果已經成功應用于一系列人工智能、高性能計算和移動設計領域,取得了顯著成效。
    的頭像 發表于 05-13 11:04 ?558次閱讀

    思科技與公司深度合作,推動芯片設計創新

     新思科技EDA事業部戰略與產品管理副總裁Sanjay Bali表示:“新思科技在可投產的EDA流程和支持3Dblox標準的3DIC Compiler光子集成方面的先進成果,結合我們廣
    的頭像 發表于 05-11 16:25 ?476次閱讀

    思科技面向公司先進工藝加速下一代芯片創新

    套件賦能可投產的數字和模擬設計流程能夠針對臺公司N3/N3P和N2工藝,助力實現芯片設計成功,
    發表于 05-11 11:03 ?457次閱讀
    新<b class='flag-5'>思科</b>技面向<b class='flag-5'>臺</b><b class='flag-5'>積</b><b class='flag-5'>公司</b>先進工藝加速下一代芯片創新

    3nm工藝下半年產能料將大增,2025年營收預增26.6%?

    分析師強調,電的N3制程處于全球領先地位,盡管第一季度的3nm制程銷量同比下降了32%,僅占
    的頭像 發表于 04-30 17:22 ?1845次閱讀

    電2023年報:先進制程與先進封裝業務成績

    據悉,電近期發布的2023年報詳述其先進制程與先進封裝業務進展,包括N2、N3、N4、
    的頭像 發表于 04-25 15:54 ?795次閱讀

    思科技與英特爾在UCIe互操作性測試進展

    英特爾的測試芯片Pike Creek由基于Intel 3技術制造的英特爾UCIe IP小芯片組成。它與采用公司
    的頭像 發表于 04-18 14:22 ?843次閱讀

    電產能受益于先進制程,索尼半導體將選擇熊本?

    英偉達GTC大會將在美西時間3月17日啟幕,市場認為H200和B100可能會于大會期間提前公開以搶占市場份額。據悉,這兩款產品將分別使用N4和
    的頭像 發表于 03-11 09:45 ?498次閱讀
    任我赢百家乐官网自动投注系统| 乐博娱乐城| 百家乐投注综合分析法| 百家乐官网赌术大揭秘| 香港六合彩管家婆| 百家乐稳赢投注| 百家乐官网反缆公式| 土默特左旗| 太阳城真人娱乐城| 百家乐庄闲分布概率| 百家乐官网赌场破解方法| 米林县| sz全讯网网站xb112| 太阳城百家乐主页| 百家乐官网网上真钱赌场娱乐网规则 | 菲彩百家乐官网的玩法技巧和规则 | 百家乐桌蓝盾在线| 百家乐官网信息| 彩票| 棋牌58w| 哪家百家乐从哪而来| 百家乐送彩金平台| 百家乐官网号技巧| 百家乐官网娱乐天上人间| 澳门葡京赌场| 大发888客服咨询电话| 百家乐真人游戏娱乐网| 百家乐注册赠金| 百家乐官网百博| 百家乐官网庄闲客户端| 星河国际娱乐场| 大发888娱乐城 真钱下载| 东莞百家乐的玩法技巧和规则 | 百家乐网上真钱赌场娱乐网规则| 百家乐中P代表| 网络百家乐官网程序| 百家乐官网翻天qvod| 平定县| 网上现金游戏网 | 大发888登陆器下载| 黄金城百家乐下载|